Warenkorb
Kostenloser Versand
Unsere Operationen sind klimaneutral

Testing of Digital Systems N. K. Jha (Princeton University, New Jersey)

Testing of Digital Systems von N. K. Jha (Princeton University, New Jersey)

Testing of Digital Systems N. K. Jha (Princeton University, New Jersey)


€30.99
Zustand - Sehr Gut
Nur noch 1

Zusammenfassung

The most comprehensive and wide ranging book of its kind, Testing of Digital Systems covers everything you need to know about how to test semiconductor devices and systems. Written for students and engineers, it is both an excellent senior/graduate level textbook and a valuable reference.

Testing of Digital Systems Zusammenfassung

Testing of Digital Systems N. K. Jha (Princeton University, New Jersey)

Device testing represents the single largest manufacturing expense in the semiconductor industry, costing over $40 billion a year. The most comprehensive and wide ranging book of its kind, Testing of Digital Systems covers everything you need to know about this vitally important subject. Starting right from the basics, the authors take the reader through automatic test pattern generation, design for testability and built-in self-test of digital circuits before moving on to more advanced topics such as IDDQ testing, functional testing, delay fault testing, memory testing, and fault diagnosis. The book includes detailed treatment of the latest techniques including test generation for various fault models, discussion of testing techniques at different levels of integrated circuit hierarchy and a chapter on system-on-a-chip test synthesis. Written for students and engineers, it is both an excellent senior/graduate level textbook and a valuable reference.

Über N. K. Jha (Princeton University, New Jersey)

Niraj Jha is Professor of Electrical Engineering at Princeton University and head of the Center of Embedded System-on-a-Chip Design, where his current research is focussed on the synthesis and testing of these devices. He is a fellow of IEEE, associate editor of IEEE Transactions on VLSI Systems and The Journal of Electronic Testing: Theory and Applications (JETTA) and a recipient of the AT&T Foundation award and the NEC preceptorship award for research excellence. Sandeep Gupta is an Associate Professor in the Department of Electrical Engineering at the University of Southern California, USA. He is Co-Director of the M.S. Program in VLSI Design, with research interests in the area of VLSI testing and design. He is a member of the IEEE.

Inhaltsverzeichnis

1. Introduction; 2. Fault models; 3. Combinational logic and fault simulation; 4. Test generation for combinational circuits; 5. Sequential ATPG; 6. IDDQ testing; 7. Functional testing; 8. Delay fault testing; 9. CMOS testing; 10. Fault diagnosis; 11. Design for testability; 12. Built-in self-test; 13. Synthesis for testability; 14. Memory testing; 15. High-level test synthesis; 16. System-on-a-chip testing; Index.

Zusätzliche Informationen

GOR007122879
9780521773560
0521773563
Testing of Digital Systems N. K. Jha (Princeton University, New Jersey)
Gebraucht - Sehr Gut
Gebundene Ausgabe
Cambridge University Press
20030508
1016
N/A
Die Abbildung des Buches dient nur Illustrationszwecken, die tatsächliche Bindung, das Cover und die Auflage können sich davon unterscheiden.
Dies ist ein gebrauchtes Buch. Es wurde schon einmal gelesen und weist von der früheren Nutzung Gebrauchsspuren auf. Wir gehen davon aus, dass es im Großen und Ganzen in einem sehr guten Zustand ist. Sollten Sie jedoch nicht vollständig zufrieden sein, setzen Sie sich bitte mit uns in Verbindung.